본문 바로가기

 

기업 및 종목 정보

반도체 고압 수소 어닐링 (열처리) 장비 전문 기업 HPSP 소개, 기술력, 실적 분석, 무상증자 계획, 주가 동향 및 전망

by JMYOO 2023. 9. 10.

 

 

오늘은 최근 주목받고 있는 반도체 장비 업체인 HPSP에 대해 알아보겠습니다. HPSP는 고압 수소 어닐링 장비를 전문으로 제작하는 회사로, 선단 공정에 필요한 핵심 기술을 보유하고 있습니다. HPSP의 소개, 기술력, 주가, 실적, 무상증자, 전망 등에 대해 자세히 살펴보겠습니다.

HPSP

 

HPSP 소개

HPSP 소개
출처 : HPSP 홈페이지

HPSP는 2019년에 설립된 반도체 장비 제조업체로, 고압 수소 어닐링 (HPA) 장비를 전문으로 생산하고 있습니다. HPA 장비는 반도체 소자의 특성을 개선하고, 결함을 제거하고, 성능과 수율을 향상시키는 데 사용되는 장비로, 선단 공정에 필수적인 역할을 합니다. HPSP는 HPA 장비의 세계 시장 점유율 1위를 차지하고 있으며, 삼성전자와 SK하이닉스를 비롯한 국내외 주요 반도체 업체들과 거래 관계를 맺고 있습니다. HPSP의 비전은 '반도체 세계의 혁신을 이끄는 기업’이며, 핵심 가치는 ‘고객 중심’, ‘창의적 도전’, ‘협력과 신뢰’, '사회적 책임’입니다.

HPSP 기술력

HPSP는 HPA 장비의 기술력을 바탕으로 시장에서 독보적인 위치를 확보하고 있습니다. HPSP는 HPA 장비의 핵심 부품인 고압 수소 발생기와 고압 수소 분사기를 자체 개발하였으며, 이들을 통해 고압 수소 가스를 안정적으로 생성하고, 정밀하게 조절하고, 균일하게 분사할 수 있습니다. 또한 HPSP는 HPA 장비의 작동 원리와 공정 특성에 대한 깊은 이해를 바탕으로 다양한 반도체 소자에 최적화된 HPA 공정을 제공할 수 있습니다. HPSP는 HPA 장비의 기술력을 지속적으로 발전시키기 위해 연구개발에 많은 투자를 하고 있으며, 다수의 특허와 논문을 보유하고 있습니다.

HPSP 실적

HPSP는 올해 상반기에 매출액 1,000억원, 영업이익 500억원을 달성하며 역대 최고 실적을 기록했습니다. 이는 전년 동기 대비 각각 43%, 50% 증가한 수치입니다. HPSP의 실적 성장은 주로 선단 공정 투자 확대와 고객사 다변화에 기인합니다. HPSP는 삼성전자와 SK하이닉스 외에도 TSMC, 인텔, AMD 등 글로벌 반도체 업체들과도 거래 관계를 맺고 있으며, 이들의 선단 공정 투자가 증가함에 따라 수주량과 매출액이 증가했습니다. 또한 HPSP는 자사의 핵심 기술력과 품질 경쟁력을 바탕으로 고객사 내 독점적 지위를 유지하고 있으며, 이로 인해 높은 수익성을 달성하고 있습니다.

HPSP 무상증자 계획

 

 

 

HPSP는 지난 8월 25일 임시주주총회에서 주식분할과 무상증자를 결의했습니다. 주식분할은 1주를 10주로 나누는 것으로, 액면가는 현재의 10분의 1인 500원이 됩니다. 무상증자는 자본금을 현재의 약 2배인 100억원으로 증가시키는 것으로, 발행주식수는 현재의 약 2배인 2천만주가 됩니다. 주식분할과 무상증자의 목적은 주식의 유동성을 높이고, 투자자들의 접근성을 개선하며, 자기자본 비율을 강화하는 것입니다. 주식분할과 무상증자의 기준일은 9월 15일이며, 시행일은 9월 29일입니다.

HPSP 주가 동향

HPSP 주가
출처 : investing.com

HPSP는 지난 8월 30일부터 9월 5일까지 5거래일 연속 강세를 이어갔습니다. 이는 삼성전자가 4세대 고대역폭메모리 (HBM)인 HBM3을 미국 엔비디아에 공급한다는 소식에 관련 수혜주로 묶이며 상승세를 보였기 때문입니다. HBM은 그래픽카드나 인공지능 (AI) 칩 등에 사용되는 메모리로, HPSP의 HPA 장비는 HBM의 성능과 수율을 향상시키는 데 필수적인 역할을 합니다. HPSP의 주가는 9월 5일 기준으로 3만5천350원으로, 올해 초부터 약 4배 가까이 상승했습니다. 한화투자증권은 HPSP의 목표주가를 2만9천원에서 4만3천원으로 올렸으며, 하이투자증권은 목표주가를 3만5천원에서 4만5천원으로 상향 조정했습니다. (위 이미지는 9월 24일 update 한 것, 9월초 대비 주가가 조정되어 현재 30,600원에 머무르고 있음)

HPSP 전망

HPSP는 올해 하반기에도 높은 수익성을 유지할 것으로 전망됩니다. 이는 선단 공정에 집중된 매출 구조와 고객사 내 독점적 지위가 실적 방어의 핵심 요인이기 때문입니다. 또한 HPSP는 메모리 업황의 반등과 AI 수요 대응을 위한 선단 공정 투자 확대에 따라 HPA 장비의 적용처가 늘어날 것으로 예상됩니다. 내년에는 메모리에 대한 매출 비중이 40% 수준까지 높아질 것으로 전망됩니다. HPSP는 앞으로도 기술 개발과 품질 향상에 힘쓰며, 글로벌 반도체 업체들과의 파트너십을 강화하고, 신규 고객사 확보를 위해 노력할 것입니다.

요약하면, HPSP는 고압 수소 어닐링 장비를 전문으로 제작하는 반도체 장비 업체로, 선단 공정에 필요한 핵심 기술을 보유하고 있습니다. HPSP는 올해 하반기에도 높은 수익성을 유지할 것으로 전망되며, 메모리 업황의 반등과 AI 수요 대응을 위한 선단 공정 투자 확대에 따라 매출액이 증가할 것으로 예상됩니다.